VHDL

出典: くみこみックス

2009年2月23日 (月) 03:00; Kumikomiadmin (会話 | 投稿記録) による版

VHDL(Very High Speed Integrated Circuit Hardware Description Language)

 代表的なハードウェア記述言語の一つです.もともとは米国の国防総省が機器の動作仕様をドキュメント化するための文法として策定しました.その後,VHDL記述から回路を合成する論理合成ツールや,シミュレータ上で動作を検証するツールが作られ,ハードウェア開発用言語として普及してきました.

【出典】宮崎 仁;ARM用語集,デザイン ウェーブ マガジン 2008年6月号 別冊付録,CQ出版社,2008年6月.


 ディジタル回路設計用のハードウェア記述言語の一つです.プログラム記述によりハードウェアの動作や構造を表現します.VHDL用のシミュレータで動作検証が行え,RTL(Register Transfer Level)スタイルで記述すると,論理合成ツールによってゲート・レベルのネットリストへ変換できます.ディジタル回路設計ではVerilog HDLとともに広く使用されています.Verilog HDLに比べて,コンフィグレーションやタイプ宣言など,拡張性の高い文法が用意されていますが,RTLスタイルの記述の難易度はVerilog HDLと大差ありません.

【出典】(株)アルティマ 技術統括部 一同,下馬場 朋禄,山際 伸一,横溝 憲治;システム開発者のためのFPGA用語集,Design Wave Magazine 2008年12月号 別冊付録,CQ出版社,2008年12月.

関連項目

表示