フリップフロップ

出典: くみこみックス

版間での差分
(新しいページ: 'フリップフロップ 【Flip-flop】  フリップフロップは1ビットのデータを保持できる順序回路です.用途によっては,レジスタと呼...')
1 行 1 行
フリップフロップ 【Flip-flop】
フリップフロップ 【Flip-flop】
-
 フリップフロップは1ビットのデータを保持できる順序回路です.用途によっては,レジスタと呼ばれることもあります.フリップフロップは,そのデータの記録方法により,RSフリップフロップ,JKフリップフロップ,Tフリップフロップ,Dフリップフロップなどがありますが,現在のディジタル回路設計ではDフリップフロップがよく使われます(p.72の図44を参照).Dフリップフロップは,クロック信号の立ち上がりエッジにおけるD入力端子の状態を次のクロックの立ち上がりエッジまで記録します.フリップフロップを使用する際には,クロックの立ち上がり前(セットアップ時間)と後(ホールド時間)でD入力端子の信号を変化させてはいけません.変化があった場合,メタステーブルと呼ばれる現象が発生し,記録されるデータは不確実なものとなります.
+
 フリップフロップは1ビットのデータを保持できる順序回路です.用途によっては,レジスタと呼ばれることもあります.フリップフロップは,そのデータの記録方法により,RSフリップフロップ,JKフリップフロップ,Tフリップフロップ,Dフリップフロップなどがありますが,現在のディジタル回路設計ではDフリップフロップがよく使われます('''図''').Dフリップフロップは,クロック信号の立ち上がりエッジにおけるD入力端子の状態を次のクロックの立ち上がりエッジまで記録します.フリップフロップを使用する際には,クロックの立ち上がり前(セットアップ時間)と後(ホールド時間)でD入力端子の信号を変化させてはいけません.変化があった場合,メタステーブルと呼ばれる現象が発生し,記録されるデータは不確実なものとなります.
<br>
<br>
<br>
<br>
8 行 8 行
<br>
<br>
<br>
<br>
 +
<br>
 +
<center>
 +
[[画像:fpga_f44.gif]]<br>
 +
<br>
 +
'''図 フリップフロップ'''
 +
</center>
[[Category:組み込み技術全般]] [[Category:FPGA]]
[[Category:組み込み技術全般]] [[Category:FPGA]]

2009年2月2日 (月) 02:12の版

フリップフロップ 【Flip-flop】

 フリップフロップは1ビットのデータを保持できる順序回路です.用途によっては,レジスタと呼ばれることもあります.フリップフロップは,そのデータの記録方法により,RSフリップフロップ,JKフリップフロップ,Tフリップフロップ,Dフリップフロップなどがありますが,現在のディジタル回路設計ではDフリップフロップがよく使われます().Dフリップフロップは,クロック信号の立ち上がりエッジにおけるD入力端子の状態を次のクロックの立ち上がりエッジまで記録します.フリップフロップを使用する際には,クロックの立ち上がり前(セットアップ時間)と後(ホールド時間)でD入力端子の信号を変化させてはいけません.変化があった場合,メタステーブルと呼ばれる現象が発生し,記録されるデータは不確実なものとなります.

【出典】(株)アルティマ 技術統括部 一同,下馬場 朋禄,山際 伸一,横溝 憲治;システム開発者のためのFPGA用語集,Design Wave Magazine 2008年12月号 別冊付録,CQ出版社,2008年12月.


画像:fpga_f44.gif

図 フリップフロップ

表示